From 20395b7d4e417c9551afe7967ec02ef6e07ddbc5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E9=98=B3=E5=85=89=E5=B0=91=E5=B9=B4?= <849317537@qq.com> Date: Mon, 13 May 2024 18:40:18 +0800 Subject: [PATCH] =?UTF-8?q?=E6=B7=BB=E5=8A=A0=E5=90=8E=E7=BB=AD=E5=A7=8B?= =?UTF-8?q?=E7=BB=88=E7=BA=A6=E6=9D=9F=E7=9A=84=E4=B8=80=E4=BA=9B=E8=AF=B4?= =?UTF-8?q?=E6=98=8E?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- digital_tube/tb_dt.v | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/digital_tube/tb_dt.v b/digital_tube/tb_dt.v index b3ab60b..0e0ca20 100644 --- a/digital_tube/tb_dt.v +++ b/digital_tube/tb_dt.v @@ -1,6 +1,10 @@ `timescale 1ns/1ns module tb_dt(); +// 我们如果上板验证, 需要再 .xdc文件中, 添加时钟约束 +// create_clock -period 20.000 -name sys_clk [get_posts sys_clk] +// 创建一个时钟, 周期是20纳秒, 对应的端口是系统时钟 + reg sys_clk; reg sys_rst;