led矩阵驱动成功
parent
d34e4d76b2
commit
f5281dcc7c
@ -0,0 +1,29 @@
|
||||
create_clock -period 20.000 -name sys_clk [get_posts sys_clk]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports A]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports S4]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports S3]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports S1]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports G]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports E]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports DP]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports F]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports D]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports C]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports B]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports S2]
|
||||
set_property PACKAGE_PIN U18 [get_ports sys_clk]
|
||||
set_property PACKAGE_PIN J15 [get_ports sys_rst]
|
||||
set_property PACKAGE_PIN J16 [get_ports A]
|
||||
set_property PACKAGE_PIN F20 [get_ports B]
|
||||
set_property PACKAGE_PIN L17 [get_ports C]
|
||||
set_property PACKAGE_PIN L15 [get_ports D]
|
||||
set_property PACKAGE_PIN L16 [get_ports DP]
|
||||
set_property PACKAGE_PIN L14 [get_ports E]
|
||||
set_property PACKAGE_PIN C20 [get_ports F]
|
||||
set_property PACKAGE_PIN M17 [get_ports G]
|
||||
set_property PACKAGE_PIN K16 [get_ports S1]
|
||||
set_property PACKAGE_PIN B20 [get_ports S2]
|
||||
set_property PACKAGE_PIN F19 [get_ports S3]
|
||||
set_property PACKAGE_PIN M18 [get_ports S4]
|
@ -0,0 +1,16 @@
|
||||
module lm(
|
||||
// input wire [7:0] x,
|
||||
// input wire [7:0] y,
|
||||
// f20 f19 b20 c20 j16 k16 m18 m17 l17 l16 l15 l14 m15 m14 n16 n15
|
||||
// x4 x2 x1 x3 x6 x7 x5 x8
|
||||
// y2 y3 y5 y8 y7 y1 y6 y4
|
||||
output wire o1, o2, o3, o4, o5, o6, o7, o8, o16,o15,o14,o13,o12,o11,o10,o9
|
||||
);
|
||||
|
||||
wire [7:0] x = 8'b1111_1111;
|
||||
wire [7:0] y = 8'b1111_1111;
|
||||
|
||||
assign {o9, o14, o8, o12, o1, o7, o2, o5} = x;
|
||||
assign {o16, o15, o11, o6, o10, o4, o3, o13} = ~y;
|
||||
|
||||
endmodule
|
@ -0,0 +1,72 @@
|
||||
#! /c/Source/iverilog-install/bin/vvp
|
||||
:ivl_version "12.0 (devel)" "(s20150603-1539-g2693dd32b)";
|
||||
:ivl_delay_selection "TYPICAL";
|
||||
:vpi_time_precision + 0;
|
||||
:vpi_module "C:\iverilog\lib\ivl\system.vpi";
|
||||
:vpi_module "C:\iverilog\lib\ivl\vhdl_sys.vpi";
|
||||
:vpi_module "C:\iverilog\lib\ivl\vhdl_textio.vpi";
|
||||
:vpi_module "C:\iverilog\lib\ivl\v2005_math.vpi";
|
||||
:vpi_module "C:\iverilog\lib\ivl\va_math.vpi";
|
||||
S_000001fdc02869e0 .scope module, "lm" "lm" 2 1;
|
||||
.timescale 0 0;
|
||||
.port_info 0 /OUTPUT 1 "o1";
|
||||
.port_info 1 /OUTPUT 1 "o2";
|
||||
.port_info 2 /OUTPUT 1 "o3";
|
||||
.port_info 3 /OUTPUT 1 "o4";
|
||||
.port_info 4 /OUTPUT 1 "o5";
|
||||
.port_info 5 /OUTPUT 1 "o6";
|
||||
.port_info 6 /OUTPUT 1 "o7";
|
||||
.port_info 7 /OUTPUT 1 "o8";
|
||||
.port_info 8 /OUTPUT 1 "o16";
|
||||
.port_info 9 /OUTPUT 1 "o15";
|
||||
.port_info 10 /OUTPUT 1 "o14";
|
||||
.port_info 11 /OUTPUT 1 "o13";
|
||||
.port_info 12 /OUTPUT 1 "o12";
|
||||
.port_info 13 /OUTPUT 1 "o11";
|
||||
.port_info 14 /OUTPUT 1 "o10";
|
||||
.port_info 15 /OUTPUT 1 "o9";
|
||||
L_000001fdc0193c68 .functor BUFT 1, C4<11111111>, C4<0>, C4<0>, C4<0>;
|
||||
L_000001fdc0286f10 .functor BUFZ 8, L_000001fdc0193c68, C4<00000000>, C4<00000000>, C4<00000000>;
|
||||
L_000001fdc0193cb0 .functor BUFT 1, C4<11111111>, C4<0>, C4<0>, C4<0>;
|
||||
L_000001fdc0144340 .functor BUFZ 8, L_000001fdc0193cb0, C4<00000000>, C4<00000000>, C4<00000000>;
|
||||
v000001fdc0136480_0 .net *"_ivl_14", 7 0, L_000001fdc0286f10; 1 drivers
|
||||
v000001fdc0135a80_0 .net *"_ivl_25", 7 0, L_000001fdc0144340; 1 drivers
|
||||
v000001fdc01365c0_0 .net "o1", 0 0, L_000001fdc01dc760; 1 drivers
|
||||
v000001fdc0136200_0 .net "o10", 0 0, L_000001fdc01dd520; 1 drivers
|
||||
v000001fdc0136660_0 .net "o11", 0 0, L_000001fdc01dce40; 1 drivers
|
||||
v000001fdc01359e0_0 .net "o12", 0 0, L_000001fdc0136020; 1 drivers
|
||||
v000001fdc01362a0_0 .net "o13", 0 0, L_000001fdc01ddb60; 1 drivers
|
||||
v000001fdc01368e0_0 .net "o14", 0 0, L_000001fdc0135ee0; 1 drivers
|
||||
v000001fdc0135d00_0 .net "o15", 0 0, L_000001fdc01dd160; 1 drivers
|
||||
v000001fdc0135b20_0 .net "o16", 0 0, L_000001fdc01dc800; 1 drivers
|
||||
v000001fdc0135da0_0 .net "o2", 0 0, L_000001fdc01dbf40; 1 drivers
|
||||
v000001fdc0136520_0 .net "o3", 0 0, L_000001fdc01dc080; 1 drivers
|
||||
v000001fdc0136340_0 .net "o4", 0 0, L_000001fdc01dbfe0; 1 drivers
|
||||
v000001fdc01360c0_0 .net "o5", 0 0, L_000001fdc01dbcc0; 1 drivers
|
||||
v000001fdc01367a0_0 .net "o6", 0 0, L_000001fdc01dcf80; 1 drivers
|
||||
v000001fdc0135e40_0 .net "o7", 0 0, L_000001fdc01dbea0; 1 drivers
|
||||
v000001fdc0135bc0_0 .net "o8", 0 0, L_000001fdc0135c60; 1 drivers
|
||||
v000001fdc0136840_0 .net "o9", 0 0, L_000001fdc0136700; 1 drivers
|
||||
v000001fdc01363e0_0 .net "x", 7 0, L_000001fdc0193c68; 1 drivers
|
||||
v000001fdc0135f80_0 .net "y", 7 0, L_000001fdc0193cb0; 1 drivers
|
||||
L_000001fdc0136700 .part L_000001fdc0286f10, 7, 1;
|
||||
L_000001fdc0135ee0 .part L_000001fdc0286f10, 6, 1;
|
||||
L_000001fdc0135c60 .part L_000001fdc0286f10, 5, 1;
|
||||
L_000001fdc0136020 .part L_000001fdc0286f10, 4, 1;
|
||||
L_000001fdc01dc760 .part L_000001fdc0286f10, 3, 1;
|
||||
L_000001fdc01dbea0 .part L_000001fdc0286f10, 2, 1;
|
||||
L_000001fdc01dbf40 .part L_000001fdc0286f10, 1, 1;
|
||||
L_000001fdc01dbcc0 .part L_000001fdc0286f10, 0, 1;
|
||||
L_000001fdc01dc800 .part L_000001fdc0144340, 7, 1;
|
||||
L_000001fdc01dd160 .part L_000001fdc0144340, 6, 1;
|
||||
L_000001fdc01dce40 .part L_000001fdc0144340, 5, 1;
|
||||
L_000001fdc01dcf80 .part L_000001fdc0144340, 4, 1;
|
||||
L_000001fdc01dd520 .part L_000001fdc0144340, 3, 1;
|
||||
L_000001fdc01dbfe0 .part L_000001fdc0144340, 2, 1;
|
||||
L_000001fdc01dc080 .part L_000001fdc0144340, 1, 1;
|
||||
L_000001fdc01ddb60 .part L_000001fdc0144340, 0, 1;
|
||||
# The file index is used to find the file name in the following table.
|
||||
:file_names 3;
|
||||
"N/A";
|
||||
"<interactive>";
|
||||
"lm.v";
|
Loading…
Reference in New Issue