You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

55 lines
2.4 KiB
Plaintext

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property PACKAGE_PIN F20 [get_ports o1]
set_property PACKAGE_PIN F19 [get_ports o2]
set_property PACKAGE_PIN B20 [get_ports o3]
set_property PACKAGE_PIN C20 [get_ports o4]
set_property PACKAGE_PIN J16 [get_ports o5]
set_property PACKAGE_PIN K16 [get_ports o6]
set_property PACKAGE_PIN M18 [get_ports o7]
set_property PACKAGE_PIN M17 [get_ports o8]
set_property PACKAGE_PIN N15 [get_ports o9]
set_property PACKAGE_PIN N16 [get_ports o10]
set_property PACKAGE_PIN M14 [get_ports o11]
set_property PACKAGE_PIN M15 [get_ports o12]
set_property PACKAGE_PIN L14 [get_ports o13]
set_property PACKAGE_PIN L15 [get_ports o14]
set_property PACKAGE_PIN L16 [get_ports o15]
set_property PACKAGE_PIN L17 [get_ports o16]
set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property PACKAGE_PIN J15 [get_ports sys_rst]
set_property IOSTANDARD LVCMOS33 [get_ports o1]
set_property IOSTANDARD LVCMOS33 [get_ports o2]
set_property IOSTANDARD LVCMOS33 [get_ports o3]
set_property IOSTANDARD LVCMOS33 [get_ports o4]
set_property IOSTANDARD LVCMOS33 [get_ports o5]
set_property IOSTANDARD LVCMOS33 [get_ports o6]
set_property IOSTANDARD LVCMOS33 [get_ports o7]
set_property IOSTANDARD LVCMOS33 [get_ports o8]
set_property IOSTANDARD LVCMOS33 [get_ports o9]
set_property IOSTANDARD LVCMOS33 [get_ports o10]
set_property IOSTANDARD LVCMOS33 [get_ports o11]
set_property IOSTANDARD LVCMOS33 [get_ports o13]
set_property IOSTANDARD LVCMOS33 [get_ports o12]
set_property IOSTANDARD LVCMOS33 [get_ports o14]
set_property IOSTANDARD LVCMOS33 [get_ports o15]
set_property IOSTANDARD LVCMOS33 [get_ports o16]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports c1]
set_property IOSTANDARD LVCMOS33 [get_ports c2]
set_property IOSTANDARD LVCMOS33 [get_ports c3]
set_property IOSTANDARD LVCMOS33 [get_ports c4]
set_property PACKAGE_PIN A20 [get_ports c1]
set_property PACKAGE_PIN B19 [get_ports c2]
set_property PACKAGE_PIN D19 [get_ports c3]
set_property PACKAGE_PIN D20 [get_ports c4]
set_property IOSTANDARD LVCMOS33 [get_ports r1]
set_property IOSTANDARD LVCMOS33 [get_ports r2]
set_property IOSTANDARD LVCMOS33 [get_ports r3]
set_property IOSTANDARD LVCMOS33 [get_ports r4]
set_property PACKAGE_PIN E19 [get_ports r1]
set_property PACKAGE_PIN E18 [get_ports r2]
set_property PACKAGE_PIN F17 [get_ports r3]
set_property PACKAGE_PIN F16 [get_ports r4]