@ -2,7 +2,7 @@
module tb_dt();
// 我们如果上板验证, 需要再 .xdc文件中, 添加时钟约束
// create_clock -period 20.000 -name sys_clk [get_posts sys_clk]
// create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
// 创建一个时钟, 周期是20纳秒, 对应的端口是系统时钟
reg sys_clk;
@ -1,4 +1,4 @@
create_clock -period 20.000 -name sys_clk [get_posts sys_clk]
create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports A]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
@ -32,7 +32,6 @@ always @(posedge sys_clk or negedge sys_rst) begin
end
else if (CNT == (25'd25000000 - 25'd1)) begin
// 推进状态, 选择一行x
// x <= 8'b1010_1100;
x <= show_data[(Y_IDX * 8)+:8];
else begin
set_property PACKAGE_PIN F20 [get_ports o1]
set_property PACKAGE_PIN F19 [get_ports o2]
set_property PACKAGE_PIN B20 [get_ports o3]