@ -1,6 +1,10 @@
`timescale 1ns/1ns
module tb_dt();
// 我们如果上板验证, 需要再 .xdc文件中, 添加时钟约束
// create_clock -period 20.000 -name sys_clk [get_posts sys_clk]
// 创建一个时钟, 周期是20纳秒, 对应的端口是系统时钟
reg sys_clk;
reg sys_rst;