添加后续始终约束的一些说明

main
阳光少年 8 months ago
parent 325759f704
commit 20395b7d4e

@ -1,6 +1,10 @@
`timescale 1ns/1ns
module tb_dt();
// , .xdc,
// create_clock -period 20.000 -name sys_clk [get_posts sys_clk]
// , 20,
reg sys_clk;
reg sys_rst;

Loading…
Cancel
Save